欢迎访问学兔兔,学习、交流 分享 !

返回首页 |
当前位置: 首页 > 书籍手册>电子信息 >数字信号处理的FPGA实现 2011年版

数字信号处理的FPGA实现 2011年版

收藏
  • 大小:75.47 MB
  • 语言:中文版
  • 格式: PDF文档
  • 类别:电子信息
推荐:升级会员 无限下载,节约时间成本!
关键词:编著   实现   数字信号   处理   FPGA
资源简介
数字信号处理的FPGA实现
出版时间:2011年版
丛编项: 高等院校信息技术规划教材
内容简介
  《数字信号处理的FPGA实现》比较全面地阐述了FPGA在数字信号处理中的应用问题。《数字信号处理的FPGA实现》共分8章,主要内容包括典型FPGA器件的介绍、vhdl硬件描述语言、FPGA设计中常用软件简介、用FPGA实现数字信号处理的数据规划、多种结构类型的fir数字滤波器的FPGA实现、不同结构fft的FPGA实现、数字正交下变频的FPGA实现、cordic和dds的FPGA实现等。本书紧密贴合工程实践,以一个FPGA设计开发人员的切身体会去叙述每一个应用实例,以一个FPGA教学工作者的实践经验去梳理和组织繁杂的知识点。《数字信号处理的FPGA实现》可作为高等院校通信、数字信号处理、电子工程等专业的本科生教材,也可供相关专业的研究生和从事雷达、电子侦察、通信等工作的技术人员参考。
目录
第1章 fpga基础知识
1.1 pld演化过程
1.2 pld分类
 1.3 fpga的诞生
 1.4 fpga结构特点
  1.4.1 基于查找表的fpga结构
  1.4.2 基于乘积项的cpld
  1.4.3 其他结构fpga器件
 1.5 fpga内部编程方式
 1.6 altera公司典型fpga器件
 1.7 fpga实现数字信号处理的流程
  1.7.1 fpga实现数字信号处理系统概述
 ?1.7.2 基于单一软件的fpga设计流程
  1.7.3 基于多种软件的fpga设计流程
 1.8 pld器件选型
  1.8.1 fpga与cpld的比较
  1.8.2 cpld的应用场合
  1.8.3 fpga的应用场合
第2章 vhdl硬件描述语言
 2.1 硬件描述语言综述
  2.1.1 硬件描述语言现状
  2.1.2 硬件描述语言的发展历史
  2.1.3 使用硬件描述语言的理由
  2.1.4 硬件描述语言的主要特征
  2.1.5 硬件描述语言设计流程及设计方法
  2.1.6 verilog hdl与vhdl比较
  2.1.7 hdl与计算机语言的区别
  2.1.8 硬件描述语言发展趋势
 2.2 vhdl硬件描述语言基本结构
  2.2.1 vhdl的基本组成
  2.2.2 实体
  2.2.3 结构体
  2.2.4 进程
 2.3 vhdl数据对象
  2.3.1 常数
  2.3.2 信号
  2.3.3 变量
  2.3.4 信号与变量的区别
 2.4 vhdl数据类型
  2.4.1 枚举型
  2.4.2 整数型、实数型
  2.4.3 阵列数据类型
  2.4.4 记录型
  2.4.5 vhdl数据类型及子类型
  2.4.6 vhdl数据类型转换
 2.5 属性
 2.6 vhdl运算符
  2.6.1 逻辑运算符
  2.6.2 关系运算符
  2.6.3 算术运算符
  2.6.4 并置(连接)运算符
 2.7 组合逻辑电路设计
  2.7.1 并行语句
  2.7.2 顺序语句
  2.7.3 几种语句的比较
 2.8 同步时序逻辑电路设计
 2.9 状态机的优化设计
 2.10 层次化设计
 2.11 库
 2.12 包
 2.13 元件
 2.14 函数
 2.15 过程
 ……
第3章 fpga设计常用软件
第4章 fpga实现数字信号处理概述
第5章 数字滤波器的fpga设计
第6章 离散傅里叶变换的fpga设计
第7章 数字正交变换算法的fpga设计
第8章 cordic与dds的fpga设计
参考文献
下载地址