欢迎访问学兔兔,学习、交流 分享 !

返回首页 |
当前位置: 首页 > 书籍手册>电子信息 >EDA技术基础 第二版 [焦素敏 主编] 2014年版

EDA技术基础 第二版 [焦素敏 主编] 2014年版

收藏
  • 大小:41.38 MB
  • 语言:中文版
  • 格式: PDF文档
  • 类别:电子信息
推荐:升级会员 无限下载,节约时间成本!
关键词:主编   基础   技术   EDA   焦素敏
资源简介
EDA技术基础 第二版
作 者: 焦素敏 著
出版时间: 2014
丛编项: 高等院校电子信息应用型规划教材
内容简介
  《EDA技术基础(第2版)/高等院校电子信息应用型规划教材·“十二五”职业教育国家规划教材》从EDA技术的应用与实践角度出发,简明而系统地介绍了EDA技术的设计载体(可编程逻辑器件)、设计语言(VHDL)和设计软件(QuartusⅡ)。《EDA技术基础(第2版)/高等院校电子信息应用型规划教材·“十二五”职业教育国家规划教材》设置了EDA技术基础知识、VHDL硬件描述语言、QuartusⅡ软件的应用、常用电路的VHDL设计实例和EDA设计综合训练5个模块,其中包含8个任务,部分任务又分解成若干个子任务。《EDA技术基础(第2版)/高等院校电子信息应用型规划教材·“十二五”职业教育国家规划教材》可作为电子信息、通信、自动化、计算机等相关专业的教材及社会相关技术的培训教材,也可作为相关学科工程技术人员的参考书,还可作为电子产品制作、科技创新实践、EDA课程设计和毕业设计等实践活动的参考书。河南工业大学焦素敏担任《EDA技术基础(第2版)/高等院校电子信息应用型规划教材·“十二五”职业教育国家规划教材》主编。
目录
模块一 EDA 技术基础知识
任务1 了解EDA 技术
1.1 什么是EDA 技术
1.1.1 案例引入
1.1.2 分析说明
1.1.3 EDA 技术的重要性
1.2 EDA 技术的知识体系
1.2.1 可编程逻辑器件
1.2.2 硬件描述语言HDL
1.2.3 EDA 工具软件
1.3 EDA 技术的特点和发展趋势
1.3.1 EDA 技术的主要特点
1.3.2 EDA 技术的发展趋势
1.4 小结
1.5 思考题
任务2 了解EDA 技术的设计载体——可编程逻辑器件
2.1 可编程逻辑器件概述
2.1.1 可编程逻辑器件的发展历程
2.1.2 可编程逻辑器件的分类
2.1.3 基本结构和编程原理
2.1.4 PLD 逻辑符号的画法和约定
2.2 简单PLD
2.2.1 PAL
2.2.2 GAL
2.3 CPLD和FPGA
2.3.1 CPLD 的基本结构
2.3.2 FPGA 的基本结构
2.3.3 Altera 公司器件介绍
2.3.4 FPGA 和CPLD 的选用
2.4 ispGDS 介绍
2.4.1 ispGDS 的原理与结构
2.4.2 ispGDS 的使用
2.5 CPLD 和FPGA 的编程与配置方法
2.5.1 CPLD 的ISP 方式编程
2.5.2 使用PC 并行口配置FPGA
2.5.3 用专用配置器件配置FPGA
2.5.4 使用单片机配置FPGA
2.6 小结
2.7 思考题
任务3 初探EDA技术
3.1 知识准备1——EDA 设计流程
3.1.1 设计输入
3.1.2 设计实现
3.1.3 设计仿真
3.1.4 编程或配置
3.2 知识准备2——Quartus Ⅱ的图形界面
3.2.1 工程导航区
3.2.2 状态区
3.2.3 信息区
3.2.4 工作区
3.2.5 快捷工具条
3.3 实例引导——一位全加器的原理图输入设计
3.3.1 任务引入与分析
3.3.2 创建工程设计项目
3.3.3 编辑设计原理图
3.3.4 设计编译与仿真
3.3.5 引脚锁定与编程下载
3.4 小结
3.5 思考题
3.6 引导训练——用层次化方法设计1位全加器
3.7 技能实训——用原理图输入法设计4位全加器
模块二 VHDL 硬件描述语言
任务4 了解VHDL 程序结构及语言要素
4.1 VHDL 程序结构
4.1.1 VHDL 程序结构及实例说明
4.1.2 实体(ENTITY)部分说明
4.1.3 结构体(ARCHITECTURE)部分说明
4.1.4 库(LIBRARY)部分说明
4.1.5 程序包(PACKAGE)部分说明
4.1.6 配置(CONFIGURATION)部分说明
4.2 VHDL语言要素
4.2.1 文字规则
4.2.2 数据对象
4.2.3 数据类型
4.2.4 类型转换
4.2.5 操作符
4.3 小结
4.4 思考题
任务5 学习掌握VHDL 语句
5.1 VHDL 顺序语句
5.1.1 IF 语句
5.1.2 CASE 语句
5.1.3 LOOP 语句
5.1.4 NEXT 语句
5.1.5 EXIT 语句
5.1.6 WAIT 等待语句
5.1.7 RETURN 返回语句
5.1.8 NULL 空操作语句
5.2 VHDL 并行语句
5.2.1 PROCESS 进程语句
5.2.2 并行信号赋值语句
5.2.3 BLOCK 块语句
5.2.4 元件例化语句
5.2.5 GENERATE 生成语句
5.3 其他语句
5.3.1 子程序及子程序调用语句
5.3.2 ASSERT 断言语句
5.3.3 REPORT 报告语句
5.3.4 属性语句
5.4 小结
5.5 思考题
模块三 Quartus Ⅱ软件的应用
任务6 掌握Quartus Ⅱ的多种应用
6.1 文本编辑输入法设计向导——计数器设计
6.1.1 编辑设计文件
6.1.2 创建工程
6.1.3 编译
6.1.4 时序仿真
6.1.5 引脚锁定与下载
6.2 应用宏功能的原理图设计
6.2.1 计数器设计
6.2.2 频率计主体电路设计
6.2.3 时序控制电路的设计
6.2.4 顶层电路设计
6.2.5 引脚锁定和下载
6.3 层次电路设计
6.3.1 顶层文件设计
6.3.2 创建各模块的下层设计文件
6.3.3 设计项目的编译仿真
6.3.4 层次显示
6.4 技能实训
6.4.1 计数译码器的文本输入层次化设计
6.4.2 2位十进制计数译码器的宏函数调用设计
6.4.3 2位十进制频率计的宏函数调用与层次设计综合实训
模块四 常用电路的VHDL设计实例
任务7 学习常用电路的VHDL描述方法
7.1 组合逻辑电路设计
7.1.1 任务引入与分析
7.1.2 任务实施
7.1.3 拓展与训练
7.2 时序电路逻辑设计
7.2.1 任务引入与分析
7.2.2 任务实施
7.2.3 拓展与训练
7.3 状态机设计
7.3.1 任务引入与分析
7.3.2 任务实施
7.3.3 拓展与训练
7.4 存储器设计
7.4.1 任务引入与分析
7.4.2 任务实施
7.4.3 拓展与训练
7.5 特色实用电路设计
7.5.1 任务引入与分析
7.5.2 任务实施
7.5.3 拓展与训练
7.6 小结
7.7 思考题
7.8 技能实训
7.8.1 计数器的设计
7.8.2 简易彩灯控制器设计
模块五 EDA设计综合训练
任务8 EDA技能综合提高
8.1 数字钟的设计
8.1.1 任务引入与分析——数字钟的设计要求
8.1.2 任务实施方案
8.1.3 数字钟各模块的VHDL源程序设计
8.2 智力竞赛抢答器
8.2.1 任务引入与分析——抢答器的设计要求
8.2.2 任务实施方案
8.2.3 抢答器的VHDL源程序设计
8.3 交通灯的控制器设计
8.3.1 任务引入与分析——交通灯控制器的设计要求
8.3.2 任务实施方案
8.3.3 交通灯控制器的VHDL源程序设计
8.3.4 调试仿真与验证
8.4 8路彩灯控制器设计
8.4.1 任务引入与分析——8路彩灯控制器的设计要求
8.4.2 任务实施方案
8.4.3 各模块的VHDL源程序设计
8.4.4 仿真与调试
8.5 简易数字频率计设计
8.5.1 任务引入与分析——频率计的设计要求
8.5.2 任务实施方案
8.5.3 各模块的VHDL源程序设计
8.6 “梁祝”乐曲演奏电路设计
8.6.1 任务引入与分析
8.6.2 任务实施方案
8.6.3 各模块的VHDL源程序设计
8.6.4 调试与实现
8.7 综合训练题
附录 GW48系列EDA实验开发系统使用说明
参考文献
下载地址