欢迎访问学兔兔,学习、交流 分享 !

返回首页 |
当前位置: 首页 > 书籍手册>电子信息 >数字电路与系统设计 21世纪高等院校信息与通信工程规划教材

数字电路与系统设计 21世纪高等院校信息与通信工程规划教材

收藏
  • 大小:67.88 MB
  • 语言:中文版
  • 格式: PDF文档
  • 类别:电子信息
推荐:升级会员 无限下载,节约时间成本!
关键词:编著   设计   系统   数字电路   黄丽亚
资源简介
数字电路与系统设计
出版时间:2015年版
丛编项: 21世纪高等院校信息与通信工程规划教材
内容简介
  《数字电路与系统设计/21世纪高等院校信息与通信工程规划教材》按照先组合电路后时序电路、先功能固定器件后功能可编程器件、先电路模块后系统的思路进行编写,共分8章。其内容包括数制与码制、逻辑代数理论及电路实现、组合逻辑电路、触发器、时序逻辑电路、可编程逻辑器件、数字系统设计基础、数模转换和模数转换。考虑到硬件描述语言VHDL、Verilog易于自学,因此不单独设章。将HDL语法规范作为附录。在各章的最后一节都介绍了如何用VHDL描述组合电路、时序电路等,并贯穿于整个教材,达到强化文本方式和描述硬件电路的目的。集成门电路的分类及其逻辑电平也在附录中做了简要说明。《数字电路与系统设计/21世纪高等院校信息与通信工程规划教材》可作为高等院校电子信息类、电气类、自动化类和计算机类等各专业“数字电路与逻辑设计”或“数字电子技术”课程的教材和教学参考书,也可作为相关工程技术人员的参考书。
目录
1 数制与码制
1.1 数字信号与数字电路概述
1.1.1 数字信号
1.1.2 数字电路与系统
1.2 数制
1.2.1 数制的基本知识
1.2.2 常用数制
1.2.3 数制转换
1.3 码制
1.3.1 二进制码
1.3.2 二一十进(BCD)码制
1.4 算术运算与逻辑运算
1.4.1 算术运算
1.4.2 逻辑运算
1.5 HDL
习题
2 逻辑代数理论及电路实现
2.1 逻辑代数中的运算
2.1.1 基本逻辑及运算
2.1.2 复合逻辑运算
2.2 逻辑运算的电路实现
2.2.1 场效应管的开关特性
2.2.2 CMOS反相器
2.2.3 其他类型的CMOS门电路
2.3 逻辑运算的公式
2.3.1 基本公式
2.3.2 常用公式
2.4 逻辑运算的基本规则
2.4.1 代入规则
2.4.2 反演规则
2.4.3 对偶规则
2.5 逻辑函数的标准形式
2.6 逻辑函数的化简
2.6.1 公式法化简
2.6.2 卡诺图法化简
2.7 VHDL描述逻辑门电路
习题
3 组合逻辑电路
3.1 SSI构成的组合电路的分析和设计
3.1.1 组合逻辑电路的分析
3.1.2 组合逻辑电路的设计
3.2 常用中规模集成组合逻辑电路(MSI)
3.2.1 编码器
3.2.2 译码器
3.2.3 数据选择器
3.2.4 数据比较器
3.2.5 全加器
3.2.6 基于MSI的组合电路的设计
3.3 竞争和冒险
3.3.1 竞争和冒险的概念
3.3.2 冒险的判别方法
3.3.3 冒险的消除方法
3.4 VHDL描述组合逻辑电路
习题
4 触发器
4.1 概述
4.2 基本SRFF
4.3 钟控电位触发器
4.3.1 钟控SR触发器
4.3.2 钟控D触发器
4.4 边沿触发器
4.4.1 DFF
4.4.2 JKFF
4.4.3 TFF和T’FF
4.5 集成触发器的参数
4.6 触发器应用举例
4.7 VHDL描述触发器
习题
5.时序逻辑电路
5.1 概述
5.2 寄存器
5.2.1 移位寄存器工作原理
5.2.2 MSI移位寄存器
5.3 计数器
5.3.1 同步计数器的分析
5.3.2 同步计数器的设计
5.3.3 MSI同步计数器
5.3.4 异步计数器的分析和设计
5.3.5 移存型计数器
5.4 序列信号发生器
5.5 顺序脉冲发生器
5.6 一般时序逻辑电路的分析
5.7 一般同步时序电路的设计
5.8 VHDL描述时序逻辑电路
习题
6 可编程逻辑器件
6.1 PLD概述
6.1.1 PLD的表示方法
6.1.2 可编程功能的实现
6.1.3 PLD的制造工艺
6.1.4 PLD的分类
6.1.5 PLD的开发流程
6.2 可编程只读存储器(PROM)
6.2.1 PROM的结构和功能
6.2.2 ROM的应用
6.3 可编程逻辑阵列(PLA)和可编程阵列逻辑(PAL)
6.3.1 PLA的结构与应用
6.3.2 PAL的结构与应用
6.4 通用程阵列逻辑(GAL)
6.4.1 GAL的结构
6.4.2 GAL的应用
6.5 复杂可编程逻辑器件(CPLD)
6.5.1 CPLD的产生
6.5.2 CPLD的结构
6.6 现场可编程门阵列(FPGA)
6.6.1 FPGA的产生背景
6.6.2 FPGA的结构
6.7 HDPLD应用举例
习题
7 数字系统设计基础
7.1 概述
7.1.1 数字系统的基本模型
7.1.2 同步数字系统时序约定
7.1.3 数字系统的设计方法
7.2 数字系统的描述工具
7.2.1 寄存器传输语言(RTL)
7.2.2 方框图
7.2.3 算法流程图
7.2.4 算法状态机(ASM)图
7.3 控制器设计
7.4 数字系统设计及VHDL实现
7.4.1 二进制乘法器设计
7.4.2 交通灯管理系统设计
7.4.3 A/D转换系统设计
习题
8 数模转换和模数转换
8.1 数模转换(D/A)
8.1.1 数模转换原理
8.1.2 常见的DAC结构
8.1.3 DAC的主要参数和意义
8.1.4 集成DAC及其应用举例
8.2 模数转换(A/D)
8.2.1 模数转换的一般过程
8.2.2 常见的ADC结构
8.2.3 ADC的主要参数和意义
8.2.4 集成ADC及其应用举例
习题
附录A VHDL简介
附录B VERILOG简介
附录C 集成门电路及逻辑电平
下载地址